Học viện kỹ thuật quân sự
Hãy đăng nhập để có thể dùng đầy đủ chức năng của forum

Join the forum, it's quick and easy

Học viện kỹ thuật quân sự
Hãy đăng nhập để có thể dùng đầy đủ chức năng của forum
Học viện kỹ thuật quân sự
Bạn có muốn phản ứng với tin nhắn này? Vui lòng đăng ký diễn đàn trong một vài cú nhấp chuột hoặc đăng nhập để tiếp tục.
Học viện kỹ thuật quân sự

100 Hoàng Quốc Việt, Cầu Giấy, Hà Nội


You are not connected. Please login or register

Hướng dẫn xài Xilinx

Go down  Thông điệp [Trang 1 trong tổng số 1 trang]

1Hướng dẫn xài Xilinx Empty Hướng dẫn xài Xilinx Thu Apr 19, 2012 11:32 pm

admin

admin
1st
1st

Để tiện theo dõi, anh em giở mục 7.4 trong slide ra
Code:
http://hvktqs.1forum.biz/t1077-topic#9129
Đây là code (copy lại cho nhanh)
Code:
module example2(X, Y, Z, A);
input [3:0] X, Y, Z;
output A;
reg A;
always @ (X or Y or Z)
   begin
      if((X==Y)&&(Z))
          A = 1;
      else
          A = !X;
   end
endmodule

Kéo xuống dưới để đọc tiếp

https://hvktqs.1forum.biz

2Hướng dẫn xài Xilinx Empty Re: Hướng dẫn xài Xilinx Thu Apr 19, 2012 11:35 pm

admin

admin
1st
1st

Bước 1: Làm theo các bước như ở trang 24 - 25 của slide bai1.ppt của thầy
Bước 2: Copy code ở post trên vào file New source mới tạo rồi save lại

Kéo xuống dưới để đọc tiếp

https://hvktqs.1forum.biz

3Hướng dẫn xài Xilinx Empty Re: Hướng dẫn xài Xilinx Thu Apr 19, 2012 11:43 pm

admin

admin
1st
1st

Bước 3: Làm tiếp các thao tác trang 27 của slide bai1.ppt
Thêm 3 cái dòng như kia vào cái file mới tạo

Hướng dẫn xài Xilinx Untitl11


Bước 4: Chuyển sang cửa sổ Simulation (bên cạnh Implementation như hình trên).


Hướng dẫn xài Xilinx Untitl12


Lần lượt click đúp vào 2 dòng
Behavioral Check Syntax
Simulate Behavioral Model

Kéo xuống dưới để đọc tiếp



Được sửa bởi admin ngày Thu Apr 19, 2012 11:51 pm; sửa lần 1.

https://hvktqs.1forum.biz

4Hướng dẫn xài Xilinx Empty Re: Hướng dẫn xài Xilinx Thu Apr 19, 2012 11:50 pm

admin

admin
1st
1st

Bước 5: Xem và kiểm tra kết quả
Chú ý cái kính lúp có dấu trừ, click vào đó đến khi nào nhìn thấy đủ kết quả
Hướng dẫn xài Xilinx Untitl13

Khi X=0000, Y=0000, Z=1111 thì A = 0
Giở lại code

Code:
module example2(X, Y, Z, A);
input [3:0] X, Y, Z;
output A;
reg A;
always @ (X or Y or Z)
  begin
      if((X==Y)&&(Z))
          A = 1;
      else
          A = !X;
  end
endmodule

Dịch code sang tiếng Việt:

Nếu ((X==Y)&&Z) thì A = 1
Không thì A = đảo của X

Với trường hợp X=0000, Y=0000 thì X==Y nhận giá trị TRUE (tức là giá trị 1)
Z=0000 nên 1&&Z = 0 = FALSE
Vậy giá trị trong IF là FALSE nên sẽ thực hiện phép tính A = đảo của X tức là A = 1

Hết.

https://hvktqs.1forum.biz

Sponsored content



Về Đầu Trang  Thông điệp [Trang 1 trong tổng số 1 trang]

Permissions in this forum:
Bạn không có quyền trả lời bài viết